写点什么

HDL

0 人感兴趣 · 1 次引用

  • 最新
  • 推荐
https://static001.geekbang.org/infoq/72/728c6564e3303f65e799c07f7c16cad1.jpeg?x-oss-process=image%2Fresize%2Cw_416%2Ch_234

蓝易云 - ubuntu22.04 搭建 verilator 仿真环境

Verilator是一款开源的硬件描述语言(HDL)仿真器,它可以将Verilog转换为C++模型,以便进行快速仿真。以下是在Ubuntu 22.04上搭建Verilator仿真环境的步骤。

HDL_HDL技术文章_InfoQ写作社区