写点什么

Verilog

0 人感兴趣 · 24 次引用

  • 最新
  • 推荐

Verilog 的模块与端口

用户头像
向阳逐梦
2022-12-20

结构建模方式有 3 类描述语句: Gate(门级)例化语句,UDP (用户定义原语)例化语句和 module (模块) 例化语句。本次主要讲述使用最多的模块级例化语句。

Verilog 语言的循环语句

用户头像
向阳逐梦
2022-12-19

Verilog 循环语句有 4 种类型,分别是 while,for,repeat,和 forever 循环。循环语句只能在 always 或 initial 块中使用,但可以包含延迟表达式。

Verilog 的多分支语句

用户头像
向阳逐梦
2022-12-18

case 语句是一种多路条件分支的形式,可以解决 if 语句中有多个条件选项时使用不方便的问题。

Verilog 语言的条件语句

用户头像
向阳逐梦
2022-12-17

条件(if)语句用于控制执行语句要根据条件判断来确定是否执行。

Verilog 的语句块

用户头像
向阳逐梦
2022-12-16

Verilog 语句块提供了将两条或更多条语句组成语法结构上相当于一条一句的机制。主要包括两种类型:顺序块和并行块。

Verilog 时序控制

用户头像
向阳逐梦
2022-12-14

Verilog 提供了 2 大类时序控制方法:时延控制和事件控制。事件控制主要分为边沿触发事件控制与电平敏感事件控制。

Verilog 过程赋值

用户头像
向阳逐梦
2022-12-13

过程性赋值是在 initial 或 always 语句块里的赋值,赋值对象是寄存器、整数、实数等类型。

Verilog 的连续赋值

用户头像
向阳逐梦
2022-12-11

连续赋值语句是 Verilog 数据流建模的基本语句,用于对 wire 型变量进行赋值。:

Verilog 表达式

用户头像
向阳逐梦
2022-12-09

表达式由操作符和操作数构成,其目的是根据操作符的意义得到一个计算结果。表达式可以在出现数值的任何地方使用。例如:

Verilog 数据类型

用户头像
向阳逐梦
2022-12-08

Verilog 最常用的 2 种数据类型就是线网(wire)与寄存器(reg),其余类型可以理解为这两种数据类型的扩展或辅助。

Verilog 设计方法

用户头像
向阳逐梦
2022-12-07

Verilog 的设计多采用自上而下的设计方法(top-down)。即先定义顶层模块功能,进而分析要构成顶层模块的必要子模块;然后进一步对各个模块进行分解、设计,直到到达无法进一步分解的底层功能块。这样,可以把一个较大的系统,细化成多个小系统,从时间、工作

有限状态机

用户头像
向阳逐梦
2022-11-19

有限状态机(FSM)是许多数字系统中用来控制系统和数据流路径行为的时序电路。FSM的实例包括控制单元和时序。 本实验介绍了两种类型的FSM(Mealy和Moore)的概念,以及开发此类状态机的建模方式。 请参阅Vivado教程,了解如何使用Vivado工具创建项目和验证数字

复杂时序逻辑电路

用户头像
向阳逐梦
2022-11-18

时序逻辑电路由组合电路和存储电路两部分组成,通过反馈回路将两部分连成一个整体。时序逻辑电路的一般结构如下图所示。

简单时序逻辑电路

用户头像
向阳逐梦
2022-11-17

​ 在前述的组合逻辑中,任意时刻的输出只与该时刻的输入信号所决定;而在接下来要讲的时序电路中,任意时刻的输出信号不仅与当时刻的输入有关,而且与电路原来的状态有关。这需要电路要能记住历史输入,所以要引入时序概念。用时钟信号保障时序电路按照时序

复杂组合逻辑电路

用户头像
向阳逐梦
2022-11-16

集成三线—八线译码器74138除了3线到8线的基本译码输入输出端外,为便于扩展成更多位的译码电路和实现数据分配功能,74138还有三个输入使能端 EN1, EN2A和EN2B 。74138真值表和内部逻辑图如下图:

简单组合逻辑电路

用户头像
向阳逐梦
2022-11-15

在 Verilog HDL 中一个信号可能有如下四种基本的值:i.0:逻辑 0 或假ii.1:逻辑 1 或真iii.x:未知iv.z:高阻态(三态)在门电路的输入端或是表达式中的 z 值通常会被译为一个 x 值(待确定)。通常情况下, Verilog HDL 是区分大小写的,但是作为值来表示时

基础逻辑门

用户头像
向阳逐梦
2022-11-14

Verilog HDL 设计语言支持3种设计风格: 门级,数据流级和行为级。 门级和数据流级设计风格通常用于设计组合逻辑电路,而行为级设计风格既可以用于设计组合逻辑电路又可以设计时序逻辑电路。本次实验通过使用Vivado 2015.1软件工具,以Basys3和Nexys4 DDR开发

Verilog 代码的风格规范

用户头像
向阳逐梦
2022-11-13

缩进原则:如果地位相等,则不需要缩进;如果属于某一个代码的内部代码就需要缩进。

Testbench 的编写与应用

用户头像
向阳逐梦
2022-11-12

Testbench 是一种用任意语言编写的程序或模块,用于在模拟过程中执行和验证硬件模型的功能正确性。 Verilog 主要用于硬件建模(模拟),该语言包含各种资源,用于格式化,读取,存储,动态分配,比较和写入模拟数据,包括输入激励和输出结果。

Vivado 安装和使用

用户头像
向阳逐梦
2022-11-11

首先下载vivado webpack installer,目前最新版本为2019.1,可以去Xilinx的官网进行下载。

Verilog 语法之测试文件

用户头像
向阳逐梦
2022-11-07

要测试我们设计的模块功能是否正常,最直接的办法就是烧写到FPGA芯片中进行验证,但是这种方式往往结果并不直观,且出现问题后也不容易定位。为提高工作效率,我们可通过电脑仿真的方式进行功能验证,待仿真通过后,再烧写到FPGA中,这样可以快速排除电路中存

Verilog 语法入门

用户头像
向阳逐梦
2022-11-06

Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语

一款设计和模拟数字逻辑电路的 LogiSim 工具

用户头像
向阳逐梦
2022-11-05

Logisim是一种用于设计和模拟数字逻辑电路的教育工具。凭借其简单的工具栏界面和构建它们时的电路仿真,它非常简单,有助于学习与逻辑电路相关的最基本概念。由于能够从较小的子电路构建更大的电路,并通过鼠标拖动来绘制电线束。

Verilog_Verilog技术文章_InfoQ写作社区