数字电路后端设计流程

用户头像
老壳有点爽
关注
发布于: 2020 年 08 月 23 日
  1. 数据准备。对于 CDN 的 Silicon Ensemble 而言后端设计所需的数据主要有是 Foundry 厂提供的标准单元、宏单元和 I/O Pad 的库文件,它包括物理库、时序库及网表库,分别以 .lef 、 .tlf 和 .v 的形式给出。前端的芯片设计经过综合后生成的门级网表,具有时序约束和时钟定义的脚本文件和由此产生的 .gcf 约束文件以及定义电源 Pad 的 DEF ( Design Exchange Format )文件。 ( 对 synopsys 的 Astro 而言, 经过综合后生成的门级网表,时序约束文件 SDC 是一样的 ,Pad 的定义文件 --tdf , .tf 文件 --technology file , Foundry 厂提供的标准单元、宏单元和 I/O Pad 的库文件 就与 FRAM, CELL view, LM view 形式给出 (Milkway 参考库 and DB, LIB file)



2.. 布局规划。主要是标准单元、 I/O Pad 和宏单元的布局。 I/O Pad 预先给出了位置,而宏单元则根据时序要求进行摆放,标准单元则是给出了一定的区域由工具自动摆放。布局规划后,芯片的大小, Core 的面积, Row的形式、电源及地线的 Ring 和 Strip 都确定下来了。如果必要 在自动放置标准单元和宏单元之后, 你可以先做一次 PNA(power network analysis ) --IR drop and EM .



  1. Placement - 自动放置标准单元。布局规划后,宏单元、 I/O Pad 的位置和放置标准单元的区域都已确定,这些信息 SE ( Silicon Ensemble )会通过 DEF 文件传递给 PC(Physical Compiler),PC 根据由综合给出的.DB 文件获得网表和时序约束信息进行自动放置标准单元,同时进行时序检查和单元放置优化。如果你用的是PC + Astro那你可用 writemilkway, readmilkway 传递数据。



  1. 时钟树生成 (CTS Clock tree synthesis) 。芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟源端门单元带载很多,其负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。时钟网络及其上的缓冲器构成了时钟树。一般要反复几次才可以做出一个比较理想的时钟树。 ---Clock skew. 5. STA 静态时序分析和后仿真。时钟树插入后,每个单元的位置都确定下来了,工具可以提出 Global Route形式的连线寄生参数,此时对延时参数的提取就比较准确了。 SE 把 .V 和 .SDF 文件传递给 PrimeTime 做静态时序分析。确认没有时序违规后,将这来两个文件传递给前端人员做后仿真。对 Astro 而言,在 detail routing 之后, 用 starRC XT 参数提取,生成的 E.V 和 .SDF 文件传递给 PrimeTime 做静态时序分析,那将会更准。



  1. ECO(Engineering Change Order) 。针对静态时序分析和后仿真中出现的问题,对电路和单元布局进行小范围的改动 .



  1. Filler 的插入 (pad fliier, cell filler) 。 Filler 指的是标准单元库和 I/O Pad 库中定义的与逻辑无关的填充物,用来填充标准单元和标准单元之间, I/O Pad 和 I/O Pad 之间的间隙,它主要是把扩散层连接起来,满足DRC规则和设计需要。



  1. 布线 (Routing) 。 Global route-- Track assign --Detail routing--Routing optimization 布线是指在满足工艺规则和布线层数限制、线宽、线间距限制和各线网可靠绝缘的电性能约束的条件下,根据电路的连接关系将各单元和 I/O Pad 用互连线连接起来,这些是在时序驱动 (Timing driven ) 的条件下进行的,保证关键时序路径上的连线长度能够最小。 --Timing report clear



  1. Dummy Metal 的增加。 Foundry 厂都有对金属密度的规定,使其金属密度不要低于一定的值,以防在芯片制造过程中的刻蚀阶段对连线的金属层过度刻蚀从而降低电路的性能。加入 Dummy Metal 是为了增加金属的密度。



  1. DRC 和 LVS 。 DRC 是对芯片版图中的各层物理图形进行设计规则检查 (spacing ,width) ,它也包括天线效应的检查,以确保芯片正常流片。 LVS 主要是将版图和电路网表进行比较,来保证流片出来的版图电路和实际需要的电路一致。 DRC 和 LVS 的检查 --EDA 工具 Synopsy hercules/ mentor calibre/ CDN Dracula 进行的 . Astro also include LVS/DRC check commands.



  1. Tape out 。在所有检查和验证都正确无误的情况下把最后的版图 GDSⅡ 文件传递给 Foundry 厂进行掩膜制造。



用户头像

老壳有点爽

关注

连续跨行者,ICT创业者 2018.04.18 加入

连续跨行者,IC、IT、创业,横跨芯片设计前后端,软件设计、产品经理,产业互联网、教育行业、GIS、智能硬件等诸多领域

评论

发布
暂无评论
数字电路后端设计流程